PROJECT TITLE :

Crosstalk Noise in WDM-Based Optical Networks-on-Chip: A Formal Study and Comparison

ABSTRACT:

Optical networks-on-chip (ONoCs) using wavelength-division multiplexing (WDM) technology have progressively attracted a lot of and more attention for their use in tackling the high-power consumption and low bandwidth issues in growing metallic interconnection networks in multiprocessor systems-on-chip. However, the fundamental optical devices used to construct WDM-based ONoCs are imperfect and suffer from inevitable power loss and crosstalk noise. Furthermore, when employing WDM, optical signals of numerous wavelengths will interfere with each other through totally different optical switching elements at intervals the network, making crosstalk noise. Thus, the crosstalk noise in giant-scale WDM-primarily based ONoCs accumulates and causes severe performance degradation, restricts the network scalability, and considerably attenuates the signal-to-noise ratio (SNR). During this paper, we systematically study and compare the worst case as well as the average crosstalk noise and SNR in three well-known optical interconnect architectures, mesh-primarily based, folded-torus-based mostly, and fat-tree-based mostly ONoCs using WDM. The analytical models for the worst case and the common crosstalk noise and SNR in the different architectures are presented. Furthermore, the proposed analytical models are integrated into a newly developed crosstalk noise and loss analysis platform (CLAP) to research the crosstalk noise and SNR in WDM-based mostly ONoCs of any network size using an arbitrary optical router. Utilizing CLAP, we tend to compare the worst case with the common crosstalk noise and SNR in several WDM-primarily based ONoC architectures. Furthermore, we tend to indicate how the SNR changes in respect to variations in the number of optical wavelengths in use, the free-spectral vary, and the microresonators Q factor. The analyses' results demonstrate that the crosstalk noise is of important concern to WDM-based ONoCs: in the worst case, the crosstalk noise power exceeds the signal power in all 3 WDM-based mostly ONoC architectures, even when the - umber of processor cores is small, e.g., 64.


Did you like this research project?

To get this research project Guidelines, Training and Code... Click Here


PROJECT TITLE :Crosstalk and Mode Conversion in Adjacent Differential LinesABSTRACT:During this paper, the ideas of weak coupling and weak imbalance are exploited to analyze crosstalk and mode conversion occurring in perfectly
PROJECT TITLE :Raman Crosstalk Suppression in NG-PON2 Using Optimized Spectral ShapingABSTRACT:In this paper, we gift an innovative proposal to mitigate the impact of Raman crosstalk within the interaction between NG-PON2 TWDM-PON
PROJECT TITLE : Video Dissemination over Hybrid Cellular and Ad Hoc Networks - 2014 ABSTRACT: We study the problem of disseminating videos to mobile users by using a hybrid cellular and ad hoc network. In particular, we formulate
PROJECT TITLE : Secure and Efficient Data Transmission for Cluster-Based Wireless Sensor Networks - 2014 ABSTRACT: Secure data transmission is a critical issue for wireless sensor networks (WSNs). Clustering is an effective
PROJECT TITLE : Network Resource Allocation for Users With Multiple Connections Fairness and Stability - 2014 ABSTRACT: This paper studies network resource allocation between users that manage multiple connections, possibly

Ready to Complete Your Academic MTech Project Work In Affordable Price ?

Project Enquiry